Welcome![Sign In][Sign Up]
Location:
Search - rs232 vhdl

Search list

[Other resourceRS232-for-vdhl

Description: RS232通讯VHDL源代码,MAXPLUS 2环境执行通过-RS232 communications VHDL source code, Segments 2 environment through implementation
Platform: | Size: 162360 | Author: lq | Hits:

[Other resourcers232_send

Description: rs232 vhdl程序 可以实行异步串行通信,这里只有send-rs232 vhdl procedures implemented asynchronous serial communication, here only send
Platform: | Size: 852 | Author: 李湘宏 | Hits:

[Other resourceuart_vhdl_lattice

Description: UART的rs232通信接口VHDL语言,里面有详细的介绍-UART communication interface rs232 VHDL language, which is described in detail
Platform: | Size: 108355 | Author: 拉拉 | Hits:

[Otherrs232

Description: this is a vhdl version of MiniUART implementation
Platform: | Size: 964309 | Author: kevin | Hits:

[Other resourceRS232

Description: quatus II 环境下vhdl实现RS232功能
Platform: | Size: 437869 | Author: 王艳华 | Hits:

[Other resourceRS232

Description: 基于VHDL的RS232通讯程序,包含完整的源代码,锁脚文件以及下载文件,可直接下载使用
Platform: | Size: 16516 | Author: 陈泽涛 | Hits:

[Other resourceRS232uart(VHDL)

Description: 256字节深度的RS232串口程序,共分4个模块,顶层文件\\FIFO程序\\串口收和串口发.经过测试已用于产品.可靠!
Platform: | Size: 5377 | Author: 温海龙 | Hits:

[Other resourcevhdl

Description: RS232数据发送器,适合于VHDL的初学者参考-RS232 data transmitter, suitable for beginners VHDL reference
Platform: | Size: 4406 | Author: 波波 | Hits:

[VHDL-FPGA-VerilogS7_PS2_RS232

Description: 基于verilog语言PS2接口和RS232接口的实现-PS2 based on verilog language interface and RS232 interface implementation
Platform: | Size: 1748992 | Author: jiehao | Hits:

[VHDL-FPGA-VerilogUP_IP_Library_80

Description: altera大学IP库,包含ps2、sdram、rs232等-altera University, IP libraries, including the ps2, sdram, rs232, etc.
Platform: | Size: 5476352 | Author: wcm | Hits:

[Software EngineeringCPLD_KEYBOARD

Description: 本设计是用VHDL语言来实现的基于RS232按位串行通信总线的行列式矩阵键盘接口电路,具有复位和串行数据的接收与发送功能,根据发光二极管led0—led2的显示状态可判断芯片的工作情况;实现所有电路功能的程序均是在美国 ALTERA公司生产的具有现场可编程功能的芯片EPM7128SLC84-15上调试通过的。该电路的设计贴近生活,实用性强,制成芯片后可作为一般的PC机键盘与主机的接口使用。 -The design is based on VHDL language to achieve bit serial RS232 communication bus according to the determinant of matrix keyboard interface circuit with a reset, and serial data reception and transmission capabilities, according to light-emitting diode display led0-led2 status can be judged chip work to achieve all the circuit functions of the program are produced in the United States has ALTERA Field Programmable functions EPM7128SLC84-15 on-chip debug passed. The circuit design of daily life, practical, post-produced chips can be used as a general PC, the keyboard and the host interface.
Platform: | Size: 67584 | Author: jalon | Hits:

[VHDL-FPGA-Veriloguart

Description: RS232控制分频,实现占空比和频率可以控制的分频器-verilog RS232
Platform: | Size: 79872 | Author: cuiqiang | Hits:

[VHDL-FPGA-Veriloguart1

Description: RS232(UART)串口传输,通过了FPGA验证功能正确-UART RS232 verilog HDL FPGA xilinx
Platform: | Size: 237568 | Author: | Hits:

[VHDL-FPGA-Verilogrs232

Description: 在FPGA上实现数据的串口传送,可以和上位机进行数据的首发,里面包含的仿真过程-Realized in the FPGA serial data transmission, data can be the starting PC, which contains the simulation
Platform: | Size: 1293312 | Author: gdr | Hits:

[VHDL-FPGA-Verilogrs232

Description: 用vhdl实现fpga串口通信 包含 波特率生成 发送模块 接收模块 过采样 signaltap使用-Vhdl fpga serial communication with the realization of sending module contains the baud rate generation receiver module using oversampling signaltap
Platform: | Size: 2275328 | Author: adam | Hits:

[VHDL-FPGA-Veriloguart_EP3C16_FIFO

Description: Verilog编写的串口RS232收发字符串程序,使用FIFO作为数据缓冲区,有效收发字符串长度为256字节,解决了利用串口调试工具与FPGA通讯只能收发单字节的问题.-Programs for uart/RS232, it can receive and transmit strings.
Platform: | Size: 6756352 | Author: 515666524 | Hits:

[VHDL-FPGA-Verilogrs232_VHDL

Description: RS232 uart的VHDL实现,包括时钟分频(波特率产生),接收,发送-Implement of RS232 uart in VHDL
Platform: | Size: 34816 | Author: connie | Hits:

[VHDL-FPGA-VerilogRS232

Description: EP2C8Q208_Quartus_V8.0 基于FPGA实现RS232 VHDL代码-EP2C8Q208_Quartus_V8.0 FPGA-based implementation RS232 VHDL code
Platform: | Size: 402432 | Author: zkzkzk | Hits:

[VHDL-FPGA-VerilogRS_232

Description: VHDL实现RS232串口通信,压缩包内有完整的quartus2工程,由顶层,波特率,发送,接收四个模块构成。外部电路只需要一片MAX232就能与串口助手或单片机通信。-VHDL implementation of RS232 serial communication, compressed within a complete quartus2 project from the top, baud rate, send, receive four modules. External circuit requires only a MAX232 chip can communicate with the serial or assistant.
Platform: | Size: 403456 | Author: 徐博 | Hits:

[VHDL-FPGA-Verilogrs485

Description: communication rs232 in vhdl with clock divider, counter, buffer, rs232tx, rs232rx.
Platform: | Size: 14336 | Author: le noach | Hits:
« 1 2 3 45 6 7 8 9 »

CodeBus www.codebus.net